用硬件描述语言程序设计的数字系统需要经过哪些步骤才能与具体的电路相对应

商品详情  基本信息: 目录:***章 数字系统硬件设计概述
   1.1 传统的系统硬件设计方法
   1.2 利用硬件描述语言的硬件电路设计方法
   习题与思考题
  第2章 数字系统的算法描述
   2.1 数字系统算法流程图描述
   2.1.1 算法流程图的符号及描述方法
   2.1.2 算法流程图描述数字系统实例
   2.2 状态机及算法状态机图描述
   2.2.1 状态机的分类及特点
   2.2.2 算法状态机流程图的符号及描述方法
   2.2.3 算法状态机图描述实例
   2.2.4 算法流程图至状态图的变换方法
   2.2.5 状态图至算法状态机图的变换方法
   2.2.6 C语言流程图至算法状态机图的变换方法
   习题与思考题
  第3章 VHDL程序的基本结构
   3.1 VHDL设计的基本单元及其构成
   3.1.1 实体说明
   3.1.2 构造体
   3.2 VHDL构造体的子结构描述
   3.2.1 BLOCK语句结构描述
   3.2.2 PROCESS语句结构描述
   3.2.3 SUBPROGRAM语句结构描述
   3.3 库、包集合及配置
   3.3.1 库
   3.3.2 包集合
   3.3.3 配置
   习题与思考题
  第4章 VHDL的数据类型与运算操作符
   4.1 VHDL的客体及其分类
   4.1.1 常数
   4.1.2 变量
   4.1.3 信号
   4.1.4 信号和变量值代入的区别
   4.1.5 文件
   4.2 VHDL的数据类型
   4.2.1 标准的数据类型
   4.2.2 用户定义的数据类型
   4.2.3 用户定义的子类型
   4.2.4 数据类型的转换
   4.2.5 数据类型的限定
   4.2.6 IEEE标准&STD_LOGIC&和
   &STD_LOGIC VECTOR&
   4.3 VHDL的运算操作符
   4.3.1 逻辑运算符
   4.3.2 算术运算符
   4.3.3 关系运算符
   4.3.4 并置运算符
   习题与思考题
  第5章 VHDL构造体的描述方式
   5.1 构造体的行为描述方式
   5.1.1 代入语句
   5.1.2 延时语句
   5.1.3 多驱动器描述语句
   5.1.4 GENERIC语句
   5.2 构造体的寄存器传输(RTL)描述方式
   5.2.1 RTL描述方式的特点
   5.2.2 使用RTL描述方式应注意的问题
   5.3 构造体的结构描述方式
   5.3.1 构造体结构描述的基本框架
   5.3.2 COMPONENT语句
   5.3.3 COMPONENT_INSTANT语句
   习题与思考题
  第6章 VHDL的主要描述语句
   6.1 顺序描述语句
   6.1.1 WAIT语句
   6.1.2 断言语句
   6.1.3 信号代入语句
   6.1.4 变量赋值语句
   6.1.5 IF语句
   6.1.6 CASE语句
   6.1.7 LOOP语句
   6.1.8 NEXT语句
   6.1.9 EXIT语句
   6.2 并发描述语句
   6.2.1 进程语句
   6.2.2 并发信号代入语句
   6.2.3 条件信号代入语句
   6.2.4 选择信号代入语句
   6.2.5 并发过程调用语句
   6.2.6 块语句
   6.3 其他语句和有关规定的说明
   6.3.1 命名规则和注解的标记
   6.3.2 ATTRIBUTE(属性)描述与定义语句
   6.3.3 GENERATE语句
   习题与思考题
  第7章 数值系统的状态模型
   7.1 二态数值系统
   7.2 三态数值系统
   7.3 四态数值系统
   7.4 九态数值系统
   7.5 十二态数值系统
   7.6 四十六态数值系统
   习题与思考题
  第8章 基本逻辑电路设计
   8.1 组合逻辑电路设计
   8.1.1 简单门电路
   8.1.2 编、译码器与选择器
   8.1.3 加法器与求补器
   8.1.4 三态门与总线缓冲器
  时序电路设计
   8.2.1 时钟信号和复位信号
   8.2.2 触发器
   8.2.3 寄存器
   8.2.4 计数器
   8.3 存储器
   8.3.1 存储器描述中的共性问题
   8.3.2 ROM(只读存储器)
   8.3.3 RAM(随机存储器)
   8.3.4 FIFO(先进先出堆栈)
   习题与思考题
  第9章 仿真与逻辑综合
   9.1 仿真
   9.1.1 仿真输入信息的产生
   9.1.2 仿真△
   9.1.3 仿真程序模块的书写
   9.2 逻辑综合
   9.2.1 约束条件
   9.2.2 属性描述
   9.2.3 2工艺库
   9.2.4 逻辑综合的基本步骤
   习题与思考题
  ***0章 数字系统的实际设计技巧
   10.1 数字系统优化的基本方法
   10.1.1 相同电路的处理
   10.1.2 运算顺序的改变
   10.1.3 常数运算的运用
   10.1.4 相同运算电路的使用
   10.1.5 优化的必要性及其工程实际意义
   10.2 数字系统设计中的工程实际问题
   10.2.1 提高系统工作速度的方法
   10.2.2 缩小电路规模和降低功耗的方法
   10.2.3 系统误操作的成因及其消除方法
   10.2.4.非同步信号的控制方法
   10.2.5 典型状态机状态编码的选择
   习题与思考题
  ***1章 洗衣机洗涤控制电路设计实例
   11.1 洗衣机洗涤控制电路的性能要求
   11.2 洗衣机洗涤控制电路的结构
   11.3 洗衣机洗涤控制电路的算法状态机图描述
   11.4 洗衣机洗涤控制电路的VHDL描述
   习题与思考题
  ***2章 微处理器接口芯片设计实例.
   12.1 可编程并行接口芯片设计实例
   12.1.1 8255的引脚与内部结构
   12.1.2 8255的工作方式及其控制字
   12.1.3 8255的结构设计
   12.1.4 8255芯片的VHDL描述
   12.1.5 8255芯片VHDL描述模块的仿真
   12.2 SCI串行接口芯片设计实例
   12.2.1 SCI的引脚与内部结构
   12.2.2 串行数据传送的格式与同步控制机构
   12.2.3 SCI芯片的VHDL描述
   12.2.4 SCI芯片VHDL描述模块的仿真
   12.3 键盘接口芯片KBC设计实例
   12.3.1 KBC的引脚与内部结构
   12.3.2 同步控制机构和查表变换
   12.3.3 KBC芯片的VHDL描述
   12.3.4 KBC芯片VHDL描述模块的仿真
   习题与思考题
  ***3章 VHDL 93版和87版的主要区别
   13.1 VHDL93版的特点
   13.2 87版到93版的移植问题
  附录A VHDL文法介绍
  附录B 属性说明
  附录C VHDL标准包集合文件
  参考文献
  ...... 精 彩 页: 内容提要: 侯伯亨、刘凯、顾新编著的《VHDL硬件描述语言 与数字逻辑电路设计(第4版)》系统地介绍了VHDL硬 件描述语言以及用该语言设计数字逻辑电路和数字系 统的新 方法。全书共13章:***、3、4、5、6、7、8、9章主 要介绍VHDL的基本知识和用其设计 简单逻辑电路的基本方法;第2、10章简单介绍数字 系统设计的一些基本知识:***1章以洗 衣机洗涤控制电路设计为例,详述一个小型数字系统 设计的步骤和过程;***2章介绍常用微 处理器接口芯片的设计实例;***3章介绍VHDL93版和 87版的主要区别。
   本书简明扼要,易读易懂,书中所有VHDL程序都 用93版标准格式书写。全书以数字逻 辑电路设计为主线,用对比手法来说明数字逻辑电路 的电原理图和VHDL程序之间的对应关 系,并列举了众多实例。另外,从系统设计角度出发 ,介绍了数字系统设计的一些基本知识及 工程设计技巧。
   本书既可作为大学本科生教材,也可作为研究生 教材,还可供电子电路工程师自学 参考。
  ...... 作者简介:
VHDL硬件描述语言与数字逻辑电路设计(第4版) 正版书籍 木垛图书:
猜你喜欢:
相关热卖:硬件描述语言VHDL在数字系统设计中的应用_文库下载
1亿文档 免费下载
当前位置: &
& 硬件描述语言VHDL在数字系统设计中的应用
硬件描述语言VHDL在数字系统设计中的应用
VHDL语言作为一种新型的硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术.文章通过四位乘法器的实例详细介绍了用VHDL语言设计数字系统的流程和方法,并通过仿真实现预定日的.结果表明,VHDL语言在数字系统设计中具有硬件描述能力强,设计方法灵活等优点,从而大大降低了数字系统设计的难度,提高了工作效率.
第3 4巷第 2期
20 0 6年 4月
浙江工业大学学报
J OURNAL OF Z E I NG
H JA UNI VERS TY
CH NOI I 0F TE
Vo. 4 No 2 13
硬件描述语言 VH L在数字系统设计中的应用
(江电大萧山学院,浙江萧山 3 10 )浙 1 2 1
摘要: VHDI语言作为一种新型的硬件描述语言, 主要用于数字电路与系统的描述、拟和自动设模
计,当今电子设计自动化 ( DA)是 E的核 术.章通过四位乘法器的实例详细介绍了用 VHDL技文
语言设计数字系统的流程和方法,通过仿真实现预定目的.果表明,并结 VHD L语言在数字系统设
计中具有硬件描述能力强,计方法灵活等优点,而大大降低了数字系统设计的难度,高了工设从提
关键词:字系统;数 VHDL;乘法器中图分类号: TN7; P 9 9 T 3文献标识码:
A文章编号:0 64 0 ( 0 6 0 - 2 40 1 0―3 3 2 0 ) 20 0 -3
App i a i n o
fha dwa e d s r p i n l ng a e VHDL r
e in n t edi ia y tm d sg
P NG n f n I J -e
( io h n C mp s Z ein
ee iin Un v ri,Ha g h u 3 1 0, ia X a s a
a u, h j g R do a d T lv o
ie s y a s t n z o
1 2 1 Chn )
Ab ta t sr c:V HDL,a
fh r wa e d s r p i n l n u g,i s d t
e c i e i u a e s a n w y e o
e c i to a g a e s u e
o d s rb,sm l t
a da t ma ial e in dgt l y tm .No d y,i b c m e
e e h o o yi lcr n cd― n u o tc lyd sg
s e as wa a s t e o sak yt c n lg n ee to i e
u o tc( i n a t ma i EDA ). e m e h d a d p o e s u i g VH DI o d sg
i ia y t m s p e e
e i n d g t l s e i r s n s
t d t r u h a
x m p eo utp ird sg . Th
n iiai et r e sa he e
i l― e h o g
n e a l fm li l
e in e e a tcp t a g ti c iv d t r u h smu a v
h tVH DI s s r n n h r wa e d s r p i n a d fe i l
e i n me h
in e r s l h ws t a
l x b e i d s g
t― n o . I o l
e u e t e d s g
fd g t l y t m n m p o e e f i n y d tc u d r d c
e i n d f c ly o
i ia s e a d i r v
fi e c . f s c
KV H DI;m u tp i r y wo d:d g t ls s e
法. VHDL是美国国防部在 2 O世纪 8 O年代中期开
硬件描述语言 HD Had r e cit n L( rwae D sr i
po L n ug ) a g a e是一种对于数字电路和系统进行性能描述和模拟的语言, 2在 O世纪 7 O年代就在学术界开始使用 .用硬件描述语言可以在数字系统的设计使阶段对系统的性能进行描述和模拟,短硬件设计缩
始推出的一种通用的硬件描述语言 .原来只是美它国国防部的一种标准,了 1 8被 I E到 9 7年 E E协会接
受为硬件描述语言的标准, I E的 VHDL 8,即 E E一7到 19 9 3年又被 I E E E进一步修改,布为新的标发准: VHDL 9, 9 6年 I E -0 6 3成为 VHD 一3 19 E E i7 . L
的最终结合标准 .现在, VHDL作为 I E E E的工业标准硬件描述语言,得到众多 E A公司的支持,又 D在
时间,减少硬件设计成本,一种非常实用的设计方是
电子工程领域已成为事实上的通用硬件描述语言.
收稿日期:0 50―3 2 0―62
作者简介:均芬(98 )女,江杭州人。平 17一,浙主要研究电子类教学
Word文档免费下载:(下载1-1页,共1页)
VHDL 硬件描述语言在数字电路设计中的应用李要球 , 卢 璐 ( 淮海工学院 电子工程学院 , 江苏 连云港 222005 ) 摘 要 :随着数字电子技术的发展 , 传统的 ...VHDL语言在现代数字系统设计中的应用_数学_自然科学_专业资料。VHDL语言在现代数字...( 高速 集成 电路 硬件描述语言 )作为硬件描述语言具有 良好 的可读性、移植性...摘要: 简要介绍了硬件描述语言 VHDL 语言的特点及 VHDL 的结构模型和设计方法, 并用具 体实例介绍了 VHDL 硬件描述语言在数字电路 系统设计中的应用及设计方法。 ...硬件描述语言的应用 硬件描述语言VHDL及其应...1/2 相关文档推荐 硬件描述语言VHDL在数字... 暂无评价 3页 2.00 基于硬件描述语言VHDL的... 暂无评价 2页...硬件描述语言与数字系统开发 第4章 VHDL语言要素 章 VHDL语言要素 ? VHDL 的...?常量在设计描述中保持某一规定类型的特定值不变 常量在设计描述中保持某一...这种设计方法以EDA设计 软件为工具,将传统数字系统设计中的 画图、搭建与调试用...硬件描述语言VHDL VHDL是美国国防部在70年代末和80年代初提出的 VHSIC 计划的...硬件描述语言VHDL_信息与通信_工程科技_专业资料。原理图设计方法:设计人员普遍熟悉并使用直观的原理图描述方法进行系统设计,随着数字 系统设计规模日益增大、复杂程度日...电子线路EDA或硬件描述语言与数字系统设计实验_计算机软件及应用_IT/计算机_专业...C 4. 用VHDL语言设计一个十进制可预置计数 器。 电子线路EDA 上页 下页 ...它 描述系统的逻辑功能、电路结构和连接方式,是EDA技 术应用中最主要的设计输入...但其行为描述能力更强,是数字系统设计领域最佳 的硬件描述语言之一,VHDL学习难度...VHDL语言 第一章 数字系统硬件设计概述_信息与通信_...画电路图 1.2 利用硬件描述语(HDL)的硬件电路设计...该用户的其他资料
在此可输入您对该资料的评论~
(window.slotbydup = window.slotbydup || []).push({
id: '4540180',
container: s,
size: '250,200',
display: 'inlay-fix'
资料评价:
所需积分:2论文发表、论文指导
周一至周五
9:00&22:00
基于VHD 的四路抢答器的设计实现
  摘要:VHDL 是一种标准的硬件描述语言,是当今电子设计自动化( EDA) 的核心技术,越来越多的硬件设计者使用VHDL描述数字系统。本文通过四路抢答器的设计实例,介绍了利用VHDL设计电路的流程和方法,突出了它与传统的电子电路设计方法相比较而表现出的优越性。 中国论文网 /8/view-6813489.htm  关键词:EDA技术;数字系统;VHDL语言;抢答器;设计   中图分类号:TP311 文献标识码:A 文章编号:(3-03   Abstract: VHDL is a standard hardware description language, is the core of the modern electronic design automation (EDA) technology, more and more designers using VHDL hardware description number system. In this paper, by the example of the design of four way responder, introduces the process and method of VHDL circuit design, highlighting its advantages and electronic circuit design method of the traditional comparison shows.   Key words: EDA technology; Digital system; VHDL language; Responder; Design   EDA是电子设计自动化(Electronic Design Automation)的缩写,如今EDA技术已成为电子设计领域里一种重要的电子系统设计手段,通过EDA技术能够实现利用软件的方式来设计硬件系统。而传统的电子系统设计方案一般是选择具有固定功能的标准集成电路和分立元器件,构建单元电路,最后将各单元电路连接在一起进行整机调试,从而实现系统功能。这种设计方法因其手工设计占有很大比重,调试工作也较复杂,故不便于进行复杂电路的设计与调试,例外,查找和修改电路中的错误也不方便,可移植性差,且只能在生产出样机后才能进行实测。而以EDA软件为平台,以VHDL硬件描述语言来编程设计数字系统,只需要经过设计输入、项目编译、项目校验、项目编程配置四步,在这四步中只有设计输入过程由设计者完成,其他三步则采用EDA软件平台自动完成,且在设计的各个阶段均可用计算机仿真验证,这样极大地简化了设计的工作量,缩短了系统开发周期,提高了工作效率。   目前,硬件描述语言以VHDL、Verilog HDL最为流行,它们都是IEEE的标准语言。我国以VHDL作为电子设计自动化硬件描述语言的国家标准。VHDL进行复杂电路设计时,往往采用自顶向下结构化的设计方法,先对整个系统进行方案设计, 按功能划分成若干单元模块, 然后对每个单元模块进一步细分编程。在程序结构上,VHDL包含实体和结构体两个基本部分,完整的VHDL结构还包括配置、程序包和库。下面介绍基于VHDL的简易四路抢答器的设计过程。   1 系统功能与要求   抢答器可容纳四组参赛者同时抢答,每组设置一个按钮供抢答者使用。抢答器设置使能端(即主持人发出抢答开始指令端),当此信号有效时,如参赛者按下抢答开关,抢答器判断第一抢答者并指示该组抢答成功,其他组抢答开关失效,若提前抢答,发出警报。系统具有清零功能。当清零端有效时,抢答器对前一轮抢答的判断清零,恢复为初始状态。   2 系统的设计分析   根据系统要求,我们需要设置四个按钮分别为a,b,c,d作为抢答器的四组输入信号,当按钮按下时其值对应为“1”表示有抢答信号,否则无抢答信号, a,b,c,d为标准逻辑类型,抢答成功者用数码管显示其编号,同时对应的指示灯亮。   根据系统要求我们还需要设置主持人抢答开始指令按钮G,当按钮按下其值为“1”,表明可以开始抢答,提前抢答则报警信号False为“1”发出报警。清零按钮K,按下时其值为“1”,系统清零。   3 系统的设计与实现   我们在MAX+plussII的环境中进行本任务的设计,MAX+plussII是美国ALtera公司提供的FPGA、CPLD开发集成环境,其界面友好,使用便捷,被誉为业界最易用易学的EDA软件。其对电子系统进行设计的第一步就是设计输入,这里我们采用VHDL硬件描述语言输入。硬件描述语言逻辑描述能力强,在描述复杂设计时,非常简洁,具有很强的逻辑描述和仿真功能。   3.1 VHDL的实体设计   一个VHDL程序必须包括实体(ENTITY)和结构体(ARCHITECTURE),设计实体是用来定义电路模块或系统的外部输入输出端口,描述它与其他部分(模块)之间的连接关系,这一部分如同是一个“黑匣子”,描述时并不需要考虑电路内部的性能和具体工作。根据本设计的要求及分析,本设计的实体部分定义输入端口为:一个清零输入端口、一个主持人抢答指令输入端口,另外还有四个抢答者抢答按钮的输入端口。输出端口为:一个提前抢答报警信号输出端口、抢答成功对应的指示灯信号输出端口4个,抢答成功者编号的七段数码管显示的对应输出端口。   实体部分的VHDL代码如下:   entity qiangda is   port(K: in std_logic; --清零端口   G : in std_logic; --抢答指令端   a,b,c,d: in std_logic; --抢答按钮   false: out std_logic_; --提前抢答警报   q: out std_logic_vector(3 downto 0));   Dout:out std_logic_vector(6 downto 0); --抢答成功显示   end qiangda;   3.2 VHDL的结构体设计   VHDL的结构体主要用来描述电路模块或系统的逻辑功能,是程序设计的核心部分。在利用VHDL 语言进行编程时只需要描述清楚各信号或变量之间的逻辑关系, 而不需要受到实际信号流向的约束。因此在对四路抢答器进行编程时, 我们只需要判断几个特殊的状态, 并给出结果, 不符合特殊状态则正常显示抢答者编号。这里特殊状态是:主持人有清零信号时,结果是均无显示。在主持人没发抢答指令前,如有抢答信号,其结果是发出报警。否则,正常抢答时,哪组先抢答,对应指示灯亮,并且数码管显示其编号,其他组抢答无效。在结构体里,我们只需将上述的逻辑关系描述清楚就可以了。   结构体部分的VHDL代码如下:   architecture ab of qiangda is   signal tmp :std_logic_vector(3 downto 0);   signal tag: std_logic; --设置锁存信号   signal D :std_logic_vector(3 downto 0);   begin   tmp<=a&b&c&d;   process(K, G, a,b,c,d)   begin   if K=‘1’ then -- 清零   D<="0000";   false<='0';   tag<='0';   elsif G=‘0’ then --提前抢答警报   if (tmp/=“0000”) then   false<='1'; end if;   Else --开始抢答   false<=‘0’; --警报信号清零   if tag=‘0’ then --判断是否有人抢答成功   if tmp=“1000” then --A抢答成功   D<=“1000”; --显示抢答成功   tag<=‘1’; --抢答成功,锁存此状态   elsif tmp="0100" then   D<="0100";   tag<='1';   elsif tmp="0010" then   D<="0010";   tag<='1';   elsif tmp="0001" then   D<="0001";   tag<='1';   end if;   end if;   end if;   q<= D;   end process;   process(D) --显示抢答成功者号码   begin   case D is   when “0000” =>Dout<= “0111111”;   when “0001” =>Dout<= “0000110”;   when “0010” =>Dout<= “1011011”;   when “0100” =>Dout<= “1001111”;   when “1000” =>Dout<= “1100110”;   when others =>Dout<= “0000000”;   end case;   end process;   end ab;   3.3 项目的编译   完成程序输入后,可开始对其进行编译。在“MAX+plus II”菜单中选择“Compiler”,即可打开编译器。选择“Start” 就可开始编译。编译的目的是验证设计结果是否符合规则, 若有错误,编译器将停止编译,并在下面的信息框中给出错误信息,双击错误信息条,一般可给出错误之处。将错误排除后再编译。编译成功后可生成时序模拟文件及器件编程文件。   3.4 项目的校验   编辑的程序文件编译成功后即可进行时序仿真和定时分析,定时分析是利用项目管理窗口下的定时分析器分析当前设计项目的性能。时序仿真通过建立波形文件观察仿真波形是否符合要求以检验设计项目的逻辑是否正确,是进一步对设计功能实现状况的检验,仿真过程不涉及具体的硬件特性,但它是接近真实器件运行特性的仿真,仿真精度非常高。   3.5 器件的编程或配置   通过仿真和定时分析后,如符合系统要求则可以通过编程器或下载电缆将设计下载到PLD器件中。对CPLD结构的器件下载称为编程,对FPGA结构的器件下载常称为配置。下载结束后,PLD器件即是一个符合逻辑要求的四路抢答器,就可以进行硬件测试和验证了。   4 结束语   本文通过简易四路抢答器的设计实例, 说明了利用VHDL 语言设计数字系统的基本方法和流程。这是一个以软件设计为主, 可以将整个数字系统实现在一个可编程芯片上的设计, 并且在多个步骤中都可以进行检测排除错误,相对于传统的数字系统设计方法而言,其优越性已经越来越明显,而且这种技术已渗透到各行各业,对于复杂的数字系统设计,我们应优先考虑此种设计方法。   参考文献:   [1] 万春迎. 基于VHDL的数字时钟设计[J]. 科技信息,2008(12).   [2] 詹仙宁.VHDL开发精解与实例剖析[M].北京:电子工业出版社,2009.   [3] 于润伟.EDA基础与应用[M].北京:机械工业出版社,2010.   [4] 关吉.基于VHDL 语言的交通控制器设计[J]. 电脑知识与技术,2014(10).   [5] 郭勇.EDA技术基础[M] .2版.北京.机械工业出版社,2012.9.
转载请注明来源。原文地址:
【xzbu】郑重声明:本网站资源、信息来源于网络,完全免费共享,仅供学习和研究使用,版权和著作权归原作者所有,如有不愿意被转载的情况,请通知我们删除已转载的信息。
xzbu发布此信息目的在于传播更多信息,与本网站立场无关。xzbu不保证该信息(包括但不限于文字、数据及图表)准确性、真实性、完整性等。课程目标:
基于EDA软件与可编程逻辑器件进行数字系统设计的能力,已成为现代社会高层次电子专业人才的必需技能。本课程的目标是引导学生建立自顶向下的现代数字系统设计理念,从传统搭积木式的自底向上设计转向基于可编程逻辑器件的数字系统设计。课程结束后,学生会基于可编程逻辑器件硬件平台,运用硬件描述语言(VHDL或Verilog)完成数字系统自顶向下的设计,包括性能级-功能级-逻辑级的设计;同时要求学生掌握测试基准(Test...
课程负责人
2001.7至今在华南理工大学电子与信息学院任教,2008年晋升教授,2010年被批准为博士生导师。12.12,任电子与信息学院副院长;2013.1至今任电子与信息学院副院长(主持工作)。
一、教学经历
主讲过4门本科专业基本课,分别是“数字电子技术”、“数字系统设计”、“现代电子设计”和“学科导论”;1门研究生课程“电子系统EDA与ASIC设计”。每年指导30余名学生参加挑战杯、国家(省)创新实验计划、电子设计竞赛、嵌入式专题竞赛等课外创新实践活动,取得的成绩如下:
(1)主持建设的课程“数字系统设计”于2010年获评国家精品课程
数字系统设计及VHDL实践
ISBN:978-7-111-22506-5
主编:徐向民
机械工业出版社
Altium Designer 快速入门
ISBN:-5295
主编:徐向民
北京航空航天大学出版社
还有谁在学这门课:
主办单位:高等教育出版社有限公司&&&&&&&&京ICP备号-2&&&&&&京公网安备-2
中国大学精品开放课程适用于《中华人民共和国著作权法》
高等教育出版社享有中国大学精品开放课程信息网络传播的专有使用权 未经书面允许,请勿转播

我要回帖

更多关于 硬件描述语言有什么用 的文章

 

随机推荐